基于FPGA的图像一维FFT变换IFFT逆变换verilog实现,包含tb测试文件和MATLAB辅助验证

1.算法运行效果图预览 fpga仿真结果 matlab调用FPGA的仿真结果进行图像显示 2.算法运行软件版本 vivado2019.2 matlab2022a 3.部分核心程序 module test_image; //图片大小 parameter RR=256; parameter CC=256
posted @ 2024-06-28 23:27  简简单单做算法  阅读(1)  评论(0编辑  收藏  举报